当前位置:首页 > 嵌入式 > 嵌入式硬件
[导读]在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形--->运

在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形--->运行仿真。如果仿真结果不理想,还得需要重新修改代码,重复上述的操作。

计算机擅长做重复的事情,为什么不让计算机代劳呢?

我们可以参照Xilinx ISE是如何调用ModelSim进行仿真的,尤其是脚本的编写。

下面一个脚本是我用ISE10.1建立了一个AES256的工程,然后在调用ModelSim6.5的时候,ISE会生成这几个脚本文件,AES256_tb.fdo, AES256_tb_wave.fdo 和 AES256_tb.udo。

下面的代码是AES256_tb.fdo文件的内容。

1: vlib work #创建名字是work的库,这个仿真之前必须做的

2: vcom -explicit -93 "SBOX_ROM.vhd" #编译vhd代码

3: vcom -explicit -93 "Inv_SBOX_ROM.vhd"

4: vcom -explicit -93 "AES_package.vhd"

5: vcom -explicit -93 "subbytes.vhd"

6: vcom -explicit -93 "SBOX_ROM_reg.vhd"

7: vcom -explicit -93 "round_key_BRAM.vhd"

8: vcom -explicit -93 "Inv_subbytes.vhd"

9: vcom -explicit -93 "Keyexpansion_Yao.vhd"

10: vcom -explicit -93 "AES256_ENC_DEC.vhd"

11: vcom -explicit -93 "AES256_TOP.vhd"

12: vcom -explicit -93 "AES256_tb.vhd"

13: vsim -t 1ps -lib work AES256_tb #进入仿真设置,时间单位为1ps,库指定为work,AES256_tb就是指你的top层设计的名字

14: do {AES256_tb_wave.fdo} #执行*.fdo文件,用来添加信号和变量或者内部的寄存器到波形(WAVE)窗口

15: view wave #打开波形窗口

16: view structure #打开架构(structure)窗口

17: view signals #打开信号列表窗口

18: run 1000ns #运行1000ns

19: do {AES256_tb.udo} #运行用户定义的脚本

只要编译的时候没有出现语法错误或者是找不到定义的库文件等错误提示,一般会很容易的看到仿真的波形,而不用手动进行操作。这样方便了仿真的整个过程,而无需用很多鼠标点击操作。

现在通过一个具体的实例来说明如何运用脚本来实现ModelSim的仿真。

工具版本:ISE10.1 ,ModelSim 6.5a

1. 创建ISE工程

首先通过ISE创建test.vhd 和test_tb.vhd文件并添加到工程中,这里不细说如何创建HDL源代码。

然后配置ISE的仿真器,右键选中FPGA芯片,点击properTIes,然后在Simulator选择Modelsim-SE VHDL。

 


图1. 工程属性

在source窗口选择“Behavioral SimulaTIon”,可以看到工程中的test_tb.vhd(testbench文件)。选中test_tb.vhd文件,在Process窗口中双击Simulate Behavioral Model,ISE开始调用ModelSim,这是ISE自动生成了三个脚本文件:test_tb.fdo, test_tb_wave.fdo和test_tb.udo。

 


图2. Behavioral SimulaTIon 窗口

看看三个脚本文件的内容吧。

test_tb.fdo内容如下:

1: vlib work #添加library

2: vcom -explicit -93 "test.vhd" #编译test的顶层文件

3: vcom -explicit -93 "test_tb.vhd" #编译test_tb的testbench文件

4: vsim -t 1ps -lib work test_tb #进入仿真,时间单位1ps,仿真test_tb

5: do {test_tb_wave.fdo} #执行*.fdo脚本文件,用于添加仿真波形

6: view wave #打开波形窗口

7: view structure #打开structure窗口

8: view signals #打开信号窗口

9: run 1000ns #仿真运行1000ns

10: do {test_tb.udo} #执行*.udo脚本文件,用于执行用户定义的脚本命令

test_tb_wave.fdo内容如下():

1: ## Project Navigator simulaTIon template: test_tb_wave.fdo

2: ## You may edit this file to control your simulation.

3: add wave * ##添加Top层所有的端口信号

test_tb.ufo内容为空白,是留着给用户自己添加。

对我们来说最有用的就是test_tb.fdo文件了,只要稍微修改就可以成为一个针对这个工程的很好的用于仿真的脚本。当然我们可以自己手动来编写类似的脚本,用ISE自动生成主要是为了涂个省事。

2.调用ModelSim进行仿真

在图2中,Process窗口中双击Simulate Behavioral Model,进入ModelSim仿真环境。请仔细观察ModelSim Transcript窗口中消息的输出。内容如下:

1: # do {test_tb.fdo} #<-------执行脚本文件

2: # ** Warning: (vlib-34) Library already exists at "work".

3: # Model Technology ModelSim SE vcom 6.5 Compiler 2009.01 Jan 22 2009 #<-----编译test.vhd得到的message

4: # -- Loading package standard

5: # -- Loading package std_logic_1164

6: # -- Loading package std_logic_arith

7: # -- Loading package std_logic_unsigned

8: # -- Compiling entity test

9: # -- Compiling architecture test of test

10: # Model Technology ModelSim SE vcom 6.5 Compiler 2009.01 Jan 22 2009 #<-----编译test.vhd得到的message

11: # -- Loading package standard

12: # -- Loading package std_logic_1164

13: # -- Loading package std_logic_arith

14: # -- Loading package std_logic_unsigned[!--empirenews.page--]

15: # -- Compiling entity test_tb

16: # -- Compiling architecture behavior of test_tb

17: # vsim -lib work -t 1ps test_tb #仿真设置命令行

18: # Loading std.standard

19: # Loading ieee.std_logic_1164(body)

20: # Loading ieee.std_logic_arith(body)

21: # Loading ieee.std_logic_unsigned(body)

22: # Loading work.test_tb(behavior)#1 #加载test_tb

23: # .main_pane.wave.interior.cs.body.pw.wf #打开wave窗口

24: # .main_pane.structure.interior.cs.body.struct #列出structure

25: # .main_pane.objects.interior.cs.body

注:在test_tb.fdo的每一行都可以在ModelSim Transcript窗口中分开一行一行执行。

然后可以观察到仿真波形文件。

3. 调试代码

或许仿真出来的结果不是我们想要的,必须的修改代码,然后再仿真。那我们应该怎么办呢?

在trasncript窗口输入:

1: quit -sim #退出仿真

2: do test_tb.fdo #修改代码完成后重新执行该脚本进行仿真

在仿真的波形的时候,我们不仅仅希望看到Top层设计的端口信号的波形,还希望能观察到内部信号是如何变化的,所以我们在Sim窗口中找到内部的信号放到wave中进行观察。但是如果我们重新运行当初test_tb.fdo文件,我们又再一次只能看到Top层设计的端口信号的波形,而内部信号的波形已经被删除。那我们应该如何保存和运用我们仿真波形文件呢?

我现在需要观察内部的一个寄存器输出:tmp信号,见图4。Ctrl+S,保存波形文件。默认保存为工程目录下/wave.do,但是我们将用波形文件保存为test_tb_wave.fdo文件,这样做的好处可以不用修test_tb.fdo文件。

 


图4.内部信号观察

我们重新运行test_tb.fdo脚本文件之后,输出的波形是图4,而不是图3。

差不多常用的VHDL仿真命令都用上了,我相信这样做肯定会提高仿真的效率。如果想要熟悉更多的ModelSim的仿真命令,请查看ModelSim的用书手册。

本站声明: 本文章由作者或相关机构授权发布,目的在于传递更多信息,并不代表本站赞同其观点,本站亦不保证或承诺内容真实性等。需要转载请联系该专栏作者,如若文章内容侵犯您的权益,请及时联系本站删除。
换一批
延伸阅读

上海2023年9月20日 /美通社/ -- 2023年9月19日-23日,第二十三届中国国际工业博览会(以下简称“工博会”)在国家会展中心(上海)举行,来自全球30个国家和地区的2800家展商,覆盖从基础材料、基础零部件...

关键字: 富士 存储技术 IBM 数据流

视频流媒体市场总额将从2021年的61个Billion一路增长,至2028年达到213个Billion。流媒体大涨的背后技术挑战来自新一代的交互模型,会是“多对多”的形式。这种交互模型的变化,将会彻底改变基础设施的部署模...

关键字: AMD Xilinx 加速卡 VPU Alveo MA35D

上海2022年12月16日 /美通社/ -- 近期,涅槃汽车发表了一篇文章,对智能座舱数据存储技术进行解析,内容如下: 1、 智能座舱的存储越来越重要,容量越大越大。 我们都知道,中国新能源汽车逐步在迈向正...

关键字: 数据存储 汽车 存储技术 BSP

北京2022年10月11日 /美通社/ -- 在1992年出版的《雪崩》一书中,作者尼尔·史蒂芬森第一次提出Metaverse(元宇宙)这一概念;斗转星移,三十年之后,随着Roblox上市、Facebook...

关键字: 区块链 存储技术 带宽 分布式

深圳2022年8月26日 /美通社/ -- 8月17日 – 深圳,AMD Xilinx举办为期一天的线下技术日活动,在AMD Xilinx主办下宜鼎国际作为合作伙伴的身份被邀...

关键字: AMD Xilinx AGENT 嵌入式系统

(全球TMT2022年8月4日讯)近日,Gartner公布2022年存储和数据保护技术成熟度曲线(Hype Cycle for Storage and Data Protection Technologies, 202...

关键字: 分布式 存储技术 GARTNER 软件定义

北京2022年4月28日 /美通社/ -- 数据是元宇宙的核心要素,面对元宇宙时代的海量数据爆发,如何实现安全、可靠、高效存储?日前,浪潮信息参与第五期开放计算技术沙龙“元宇宙存储研究与实践”,与来自中国科学技术大学、郑...

关键字: 区块链 云存储 数据中心 存储技术

北京, 2022年4月2日 /美通社/ -- 新一代信息技术正加速数字经济时代的到来,数据作为数字经济时代的信息支撑,数据成为继土地、劳动力、资本、技术之后的第五大生产要素,正在成为驱动经济社会发展的重要力量。 数据也...

关键字: 存储技术 AI

双方将推动分区存储技术标准化,共同致力于开发和推广硬件规范,以及软件应用模型,以建立一个强大的生态系统 深圳2022年3月30日 /美通社/ -- 三星和西部数据(Nasdaq: WDC)于今日宣布,双方已签署一份独特...

关键字: 三星 存储技术 西部数据

(全球TMT2022年3月30日讯)三星和西部数据宣布,双方已签署一份独特的合作谅解备忘录(MOU),以实现下一代数据放置、处理和结构(D2PF)存储技术的标准化,并推动其广泛采用。首先,双方将致力于为分区存储解决方案...

关键字: 三星 存储技术 西部数据
关闭
关闭